王 鵬, 陳新武, 陳詠恩, 黃文霞, 孫秋菊
(1. 信陽師范學(xué)院 物理電子工程學(xué)院, 河南 信陽 464000;2. 同濟大學(xué) 通信軟件及專有集成電路設(shè)計中心, 上?!?00092)
?
面向應(yīng)用型本科教育的FPGA課程教學(xué)探討
王鵬1,2, 陳新武1, 陳詠恩2, 黃文霞1, 孫秋菊1
(1. 信陽師范學(xué)院 物理電子工程學(xué)院, 河南 信陽464000;2. 同濟大學(xué) 通信軟件及專有集成電路設(shè)計中心, 上海200092)
結(jié)合實際的工程和教學(xué)經(jīng)驗,對FPGA課程的教學(xué)改革進行了有益的探索,并對教學(xué)關(guān)鍵點進行了整理,重點介紹如何理解FPGA和HDL語言的硬件電路特質(zhì),以及阻塞和非阻塞賦值的本質(zhì)區(qū)別。在FPGA課程教學(xué)中綜合采用多種措施,并特別加強對學(xué)生代碼風(fēng)格的訓(xùn)練,使學(xué)生在接觸課程時產(chǎn)生濃厚興趣,逐步建立硬件電路思維。
FPGA課程; 硬件描述語言; 并發(fā)執(zhí)行; 代碼規(guī)范
FPGA是現(xiàn)代電子技術(shù)領(lǐng)域中發(fā)展最為迅猛的領(lǐng)域之一,自1985年Xilinx公司推出首款FPGA產(chǎn)品XC2064以來,它就以其固有的高度靈活性、硬件級并行執(zhí)行等優(yōu)勢迅速占領(lǐng)了諸多電子設(shè)計領(lǐng)域[1]。2015年6月,半導(dǎo)體巨頭Intel公司宣布以167億美元的價格收購FPGA主要生產(chǎn)商Altera公司,這次并購也使更多人認識到了FPGA的巨大價值。與FPGA產(chǎn)業(yè)的飛速發(fā)展相對應(yīng),目前國內(nèi)幾乎所有高校也都針對電子相關(guān)專業(yè)本科生開設(shè)了FPGA設(shè)計基礎(chǔ)、可編程邏輯器件等專業(yè)課程[2]。然而該課程的教學(xué)普遍存在著明顯的重理論、輕實踐問題,大量課時浪費在講述FPGA內(nèi)部結(jié)構(gòu)原理和復(fù)雜的HDL語法上,留給學(xué)生思考、設(shè)計的時間很少,絕大部分本科生在完成課程學(xué)習(xí)后仍然不能理解FPGA的硬件電路實質(zhì),仍然采用類似C語言的思維進行HDL代碼編寫[3]。兼之一些授課教師也缺乏工程經(jīng)驗,知識更新不及時,更進一步制約了授課效果,培養(yǎng)出來的學(xué)生基本不具備實際FPGA開發(fā)能力,這與現(xiàn)實中FPGA應(yīng)用人才的缺乏形成了鮮明的對比。
FPGA設(shè)計的主要手段是HDL語言,由于其開發(fā)過程與傳統(tǒng)的單片機、CPU比較相似,加之HDL語言在語法上也與不少軟件語言類似,使得許多學(xué)生以學(xué)習(xí)軟件編程語言的方式學(xué)習(xí)HDL,而許多授課教師也未能意識到這一點,或者即使意識到了,但強調(diào)程度仍不夠,這樣就導(dǎo)致了學(xué)生雖然掌握了HDL語法,但由于沒有硬件電路思維,不能深刻領(lǐng)會HDL語言的最終實現(xiàn)目標是硬件電路,設(shè)計出來的代碼常常只能用作仿真而無法綜合,或者即使可以綜合,也存在著占用資源大、代碼運行效率低等問題[4]。
曾經(jīng)有不少人對FPGA究竟屬于軟件還是硬件感到困惑,其中甚至不乏具有豐富經(jīng)驗的電子工程師。事實上,只需簡單了解FPGA的構(gòu)造即可發(fā)現(xiàn),其內(nèi)部包含大量的LUT、寄存器、可編程布局布線、IO以及存儲資源等。其中LUT可實現(xiàn)任意組合邏輯功能,寄存器則用于實現(xiàn)時序功能。明白了這一點,就會清楚地意識到FPGA是一種典型的硬件級可編程數(shù)字集成電路。
目前,常用的HDL語言主要包括Verilog和VHDL,二者都有各自的優(yōu)缺點,也都能較好地完成電子設(shè)計工作。事實上,從可綜合代碼角度來看,二者并沒有本質(zhì)區(qū)別,相同的邏輯功能,使用Verilog和VHDL實現(xiàn)并無太大差別。許多EDA軟件如Synplify、ISE、ActiveHDL等都支持Verilog和VHDL混合編程,甚至還有專業(yè)軟件可以自動在Verilog和VHDL之間完成轉(zhuǎn)換[5]。
但是,Verilog和VHDL還是有各自的特點,一般地,EDA業(yè)界公認Verilog語法靈活、代碼緊湊,VHDL則結(jié)構(gòu)嚴密、代碼比較冗長。而從使用現(xiàn)狀來看,Verilog更為流行。據(jù)統(tǒng)計,在美國高層次數(shù)字系統(tǒng)設(shè)計領(lǐng)域中,應(yīng)用 Verilog 和 VHDL 的比率是 90%和 10%。日韓、臺灣和美國類似,歐洲 VHDL 發(fā)展稍好,但也不如Verilog。在中國,絕大多數(shù)集成電路設(shè)計公司也都采用 Verilog ,但VHDL在一些軍工研究所及高校也有一定的市場[6]。
另一方面,Verilog 的成熟資源也比 VHDL 豐富,許多半導(dǎo)體廠商在提供器件行為仿真模型時僅提供Verilog模型。 而且Verilog在較短的時間內(nèi)即可掌握,而 VHDL 不夠直觀,一般認為至少要半年以上的專業(yè)培訓(xùn)才能掌握。雖然傳統(tǒng)意義上認為VHDL在更大規(guī)模的系統(tǒng)設(shè)計中有一定優(yōu)勢,但隨著Verilog-2001、Verilog-2005等新一代Verilog標準的出現(xiàn),Verilog的系統(tǒng)級、算法級描述能力得到了很大改善,VHDL的相對優(yōu)勢已經(jīng)越來越少。
此外,隨著EDA技術(shù)的不斷發(fā)展,SystemVerilog、SystemC作為新型HDL語言的代表也已經(jīng)出現(xiàn),這些語言加入了許多面向?qū)ο笳Z言特性[7-8],并在許多EDA公司得到了廣泛應(yīng)用,但其各自的強項分別是設(shè)計驗證和算法仿真建模,在傳統(tǒng)的RTL級代碼領(lǐng)域, Verilog的生命力依然很旺盛,而且Verilog也在不斷更新自身以迎合時代發(fā)展,在可以預(yù)見的將來,Verilog仍會在EDA設(shè)計領(lǐng)域中占據(jù)十分重要的地位。
因此在HDL語言的選擇上不必盲目求新講述SystemVerilog/SystemC,而在Verilog和VHDL這兩種經(jīng)典HDL之間,前者的發(fā)展勢頭更好,授課采用Verilog無疑是更主流、更迎合業(yè)界現(xiàn)狀的選擇。
在專業(yè)轉(zhuǎn)型的大背景下,FPGA課程作為典型的應(yīng)用技術(shù)專業(yè)課,其授課目的應(yīng)當(dāng)是讓學(xué)生理解與掌握這種與傳統(tǒng)CPU截然不同的設(shè)計實現(xiàn)方式,并順利成長為合格的FPGA開發(fā)工程師,但由于在FPGA課程學(xué)習(xí)中還會經(jīng)常涉及到其他課程的專業(yè)知識,如講述FIR數(shù)字濾波、DDC數(shù)字下變頻等處理模塊時,就要求學(xué)生必須具有數(shù)字信號處理及通信原理基礎(chǔ)。因此,各轉(zhuǎn)型高校應(yīng)當(dāng)在修訂培養(yǎng)方案時注意專業(yè)課程的安排次序及教學(xué)進度,保證教師在講解一些FPGA實用模塊時學(xué)生具備相應(yīng)的專業(yè)知識基礎(chǔ)。
3.1開課吸引學(xué)生興趣
目前許多FPGA教材的概述部分充斥著大量的專業(yè)詞匯,這對于此前未接觸過FPGA的學(xué)生,很容易感到困惑且難以接受。因此,授課教師在開始課堂講述時,切忌一上來就引入過多的新概念,可轉(zhuǎn)而從可編程邏輯器件的發(fā)展歷史、市場規(guī)模乃至開發(fā)人員的就業(yè)前景等著手,首先要吸引學(xué)生對該課程的興趣,讓學(xué)生真正認識到FPGA開發(fā)是一門很有用的技術(shù)。例如,可介紹可編程邏輯器件領(lǐng)導(dǎo)廠家Xilinx公司的快速發(fā)展歷程,從1984年成立時僅有3名員工,只花了10年的時間,公司收入就達到了10億美元,現(xiàn)在Xilinx已發(fā)展成了全球最大的FPGA生產(chǎn)商,年銷售額數(shù)十億美元[9],同樣地,擁有3 000名員工的Altera公司被Intel公司以167億美元高價收購也是FPGA巨大價值的絕好例子。再加上豐富的圖片、視頻向?qū)W生介紹FPGA的典型產(chǎn)品及應(yīng)用,如火星探測計劃、航空武器等。通過這些手段,學(xué)生很快就能對FPGA器件產(chǎn)生濃厚的興趣,使學(xué)生主動學(xué)習(xí)相關(guān)知識,相應(yīng)的授課效果也得到了改善。
3.2強調(diào)FPGA與CPU的本質(zhì)區(qū)別
由于FPGA與單片機、ARM等CPU在開發(fā)過程上的相似性,許多學(xué)生難以理解FPGA與CPU的差異,這導(dǎo)致學(xué)生經(jīng)常使用學(xué)習(xí)CPU的思路來學(xué)習(xí)FPGA,帶來許多不必要的麻煩。為了加深印象,可以從日常生活中提取許多生動實例,向?qū)W生強調(diào)FPGA的硬件電路本質(zhì)。類似商品房和自建房的區(qū)別,從事CPU開發(fā)就像是購買開發(fā)商提供的商品房,其戶型、面積等類似于CPU的主頻、緩存等關(guān)鍵參數(shù),購房者(開發(fā)人員)只能在開發(fā)房提供好的戶型圖中選擇一種比較適合自己的房子,并可對房子進行裝修(編程開發(fā)),但選擇戶型和裝修的自由度都有限,而從事FPGA開發(fā)則像是完全自行建房。FPGA為設(shè)計人員提供了大量的建筑材料,如LUT、RAM、PLL(類似于磚塊、鋼筋、水泥等),開發(fā)人員可以自行將這些底層建筑原料設(shè)計成適合的建筑物(FPGA工程),建筑的戶型、面積等均可以由設(shè)計人員自行決定。FPGA開發(fā)遠比CPU開發(fā)靈活,也更為底層,因此,FPGA設(shè)計工程質(zhì)量的好壞就完全依賴于開發(fā)者自身;而CPU開發(fā)人員的主要精力則是在軟件代碼設(shè)計上,只需考慮代碼運行結(jié)果的正確與否及效率的高低,具體的代碼執(zhí)行過程則由CPU自行完成,開發(fā)人員一般不必關(guān)心。通過這個生活實例的對比講解,學(xué)生可以比較透徹地理解FPGA開發(fā)與CPU開發(fā)的重大區(qū)別,并有助于消除其對FPGA的誤解。
3.3淡化原理圖設(shè)計輸入
原理圖設(shè)計方式是傳統(tǒng)數(shù)字電路的一種常見設(shè)計手段,該設(shè)計方式也被FPGA所繼承,Altera和Xilinx兩大FPGA廠商在其設(shè)計套件Quartus II和ISE中均提供可選的原理圖設(shè)計方式[10]。不僅如此,國內(nèi)幾乎所有的EDA教材也都將基于原理圖方式的設(shè)計手段作為FPGA設(shè)計入門教程,并在學(xué)生掌握原理圖設(shè)計手段后再介紹基于HDL的設(shè)計方式,這一方面是由于原理圖方式具有可視化效果好、上手快等優(yōu)點,另一方面則是因為學(xué)生在之前的數(shù)字電路課程中已經(jīng)習(xí)慣于閱讀和設(shè)計各種由邏輯門電路、觸發(fā)器等元器件構(gòu)建的電路原理圖。然而事實上,這種設(shè)計方式在實際的FPGA應(yīng)用中早已被淘汰,其可移植性差、不適合復(fù)雜系統(tǒng)等先天缺陷,注定它只適合于以分離元器件為主的特定時期[11]。
自從成熟的HDL出現(xiàn)以后,真正有效的FPGA設(shè)計手段就一直是基于HDL可綜合代碼的設(shè)計方式。因此,講述FPGA設(shè)計時不推薦學(xué)生采用原理圖的設(shè)計方式,而是一開始就要求掌握基于HDL的設(shè)計方式。雖然這種方式不如原理圖直觀,但HDL自身就是面向硬件設(shè)計的,學(xué)生只有掌握了這種方式才能真正發(fā)揮FPGA的強大功能,而且現(xiàn)代FPGA內(nèi)部集成LUT和寄存器越來越豐富,一些高端FPGA芯片的寄存器及LUT資源達數(shù)百萬量級。采用HDL可以很好地完成此類復(fù)雜的數(shù)字系統(tǒng)設(shè)計,其設(shè)計效果遠遠優(yōu)于原理圖設(shè)計方式。
不推薦原理圖設(shè)計方式的另一個有力證據(jù)則來源于FPGA生產(chǎn)商, 目前最大的FPGA廠商Xilinx公司自2012年開始推出用于取代ISE的全新FPGA開發(fā)套件Vivado(最新版本為2015.1),該開發(fā)工具已經(jīng)明確不再支持基于原理圖的開發(fā)手段,因此,FPGA授課教師應(yīng)該及時吸納最新的設(shè)計理念,直接講述基于HDL的設(shè)計方式。
3.4阻塞與非阻塞賦值
阻塞與非阻塞賦值是Verilog語言中的著名難點,也是其特有的語法現(xiàn)象,甚至許多具有多年經(jīng)驗的FPGA工程師也未能完全理清該問題。阻塞賦值的理念相對容易理解,因為它與軟件語言中的串行執(zhí)行概念是大致相同的,即后一條語句執(zhí)行時,前一條語句已經(jīng)執(zhí)行完畢了,初學(xué)者學(xué)習(xí)這種賦值一般比較自然,但學(xué)習(xí)非阻塞賦值時則有較大難度。
許多教科書上只是簡單地介紹非阻塞具有并發(fā)執(zhí)行特性,且語句的先后書寫順序與執(zhí)行結(jié)果無關(guān),但對非阻塞賦值的詳細執(zhí)行過程并沒有仔細介紹,初學(xué)者更是對并發(fā)執(zhí)行的特性難以接受。事實上,借用信號與系統(tǒng)中的δ沖激函數(shù)概念,可以有效地幫助學(xué)生理解非阻塞賦值的實質(zhì)。
下面以典型的阻塞與非阻塞賦值對比語句為例,從執(zhí)行機理上解釋二者的區(qū)別(該實例被多個教材采用,但解釋方式各有不同):
代碼1(阻塞賦值):
module tst(q1,q2, clk_osc) ;
input clk_osc;
output [7:0] q1,q2;
reg [7:0] q1,q2;
always @(posedge clk_osc)
begin
q1=q1+8′d1;
q2=q1;
end
endmodule
上述代碼采用了阻塞賦值,在執(zhí)行到q2=q1時,q1=q1+8′d1已經(jīng)執(zhí)行完畢,即意味著在任何時刻,q2的輸出實際上與q1完全相同,如果將上述代碼進行綜合,其電路如圖1所示,其中的q2輸出部分雖然也有一個寄存器,但它與下方q1輸出寄存器是完全等價的,如果把綜合器的代碼優(yōu)化功能打開,q2輸出寄存器將會被徹底優(yōu)化掉,此時q2共享q1輸出數(shù)值。
圖1 阻塞賦值綜合后RTL級電路圖
而如果是非阻塞賦值,其代碼為:
代碼2(非阻塞賦值,僅列出always塊語句,其他同代碼1):
always @(posedge clk_osc)
begin
q1<=q1+8′d1;
q2<=q1;
end
非阻塞賦值的重要特點是表達式運算與目的變量值更新分開進行,對于上述非阻塞賦值過程塊,其執(zhí)行過程可以分析如下:首先執(zhí)行q1<=q1+8′d1語句,即進行q1累加操作,得到新的q1值,但并不立即更新,而是需要一個極小的δ時間才能完成,同樣地,q2<=q1語句執(zhí)行也是一樣,得到新的q2值后也不立即更新,而且該時刻參與運算的q1依然是老值,而不是q1<=q1+8′d1語句得到的新值,只有在δ時間過去后,全部非阻塞語句的目的變量值才能被更新。因此,整個過程塊的執(zhí)行效果就是q1完成累加計數(shù),而q2則對q1進行一級寄存處理,相應(yīng)的RTL綜合視圖如圖2所示。
圖2 非阻塞賦值綜合后RTL級電路圖
需要說明的是,以上分析來源于Verilog語言的語法特性,EDA仿真器在仿真非阻塞賦值代碼時,由于其工作平臺是基于串行執(zhí)行理念的CPU,所以即使是非阻塞賦值,依然需要通過串行執(zhí)行的步驟實現(xiàn),只是由于它具有表達式計算與賦值更新分開完成這一獨特語法現(xiàn)象,運行效果與實際硬件電路的并行運行特性等同。教師在講授非阻塞賦值時,務(wù)必要讓學(xué)生理解,之所以有非阻塞賦值這種特殊操作,是為了模擬硬件電路的并發(fā)運行特點,但它本身仍是通過串行執(zhí)行完成的。
大量FPGA教科書為了進一步加深學(xué)生對兩種賦值的理解,常常加入大量阻塞非阻塞混合使用的實例。事實上,授課教師只需讓學(xué)生清楚這兩種賦值的本質(zhì)區(qū)別及應(yīng)用范圍即可,在實際EDA工程設(shè)計中,出于代碼可讀性和可維護性的考慮,阻塞和非阻塞賦值是嚴格禁止在同一過程塊語句中出現(xiàn)的,而許多教材花了大量篇幅講授的這些實例并沒有實用價值,反而讓學(xué)生過于追求復(fù)雜語法,得不償失。
3.5重視良好的代碼風(fēng)格
由于并發(fā)執(zhí)行語句的存在,HDL語言在可讀性上明顯遜色于各類軟件高級編程語言,而且代碼量普遍很大,即使是一些中小規(guī)模HDL設(shè)計,其代碼也通常都在數(shù)千行甚至上萬行,再加上后期的功能升級等要求,均對HDL代碼的可讀性、可維護性提出了更高要求。正因為如此,良好的代碼風(fēng)格在HDL中顯得尤為關(guān)鍵[12]。
目前,國內(nèi)的主流EDA教材對HDL代碼風(fēng)格重視程度明顯不夠,多數(shù)教材甚至直接缺失該部分內(nèi)容。這導(dǎo)致許多學(xué)生雖然學(xué)習(xí)了FPGA課程,但在工作后從事FPGA開發(fā)時代碼書寫混亂,幾乎沒有可維護性,并不能真正完成具有工程實用性的FPGA設(shè)計。事實上,幾乎所有的業(yè)界知名半導(dǎo)體公司及研究所均有相應(yīng)的HDL代碼風(fēng)格及規(guī)范要求,如華為公司、中興公司、中國航天科技集團等。Xilinx和Altera公司作為FPGA主要生產(chǎn)商,也在其產(chǎn)品手冊中給出了許多代碼設(shè)計規(guī)范要求,這些要求雖然細節(jié)不盡相同,但仍有許多共通之處,如同步化設(shè)計、合理的注釋、變量命名原則、狀態(tài)機書寫范式等。這些代碼風(fēng)格上的要求恰恰是目前FPGA課堂教學(xué)最為欠缺的。許多公司及研究所在招聘應(yīng)屆生從事FPGA開發(fā)時,常常還需要花大力氣對其進行代碼風(fēng)格和規(guī)范書寫的專門培訓(xùn)。因此,在FPGA課堂教學(xué)時就要開始重視代碼風(fēng)格問題,將一些業(yè)界的通用性要求向?qū)W生講述清楚,在課堂實驗時要求學(xué)生自覺做到這些并逐步培養(yǎng)自身代碼書寫規(guī)范能力,以提高工程化設(shè)計能力。這一點在當(dāng)下應(yīng)用型教育愈發(fā)重要的背景下,尤其具有重大的現(xiàn)實意義。
結(jié)合FPGA技術(shù)的發(fā)展現(xiàn)狀,提出了面向應(yīng)用型本科教育的FPGA課程改革及教學(xué)關(guān)鍵點,結(jié)合工程和教學(xué)經(jīng)驗,總結(jié)了一些具有較強參考價值的教學(xué)思路,包括深入理解FPGA的硬件電路屬性、HDL語言的選擇、FPGA與CPU的本質(zhì)區(qū)別,以及Verilog的教學(xué)難點、代碼規(guī)范的重要性等。只有讓學(xué)生真正理解了這些課程關(guān)鍵點,才能理清學(xué)習(xí)思路,做到有的放矢,在學(xué)習(xí)中不走彎路,最終達到學(xué)以致用,成為優(yōu)秀的FPGA應(yīng)用型人才。
References)
[1] 楊海鋼,孫嘉斌,王慰.FPGA器件設(shè)計技術(shù)發(fā)展綜述[J].電子與信息學(xué)報,2010, 32(3):714-727.
[2] 覃洪英. FPGA實驗教學(xué)方法改進探討[J]. 實驗室科學(xué), 2012, 15(4):79:82.
[3] 朱磊,衛(wèi)建華,邱春婷.FPGA課程實踐環(huán)節(jié)教學(xué)改革[J].高等工程教育研究,2008, 30(12):134-136.
[4] 劉寧莊.實踐教學(xué)方法在FPGA課程教學(xué)中的應(yīng)用[J].高校實驗室工作研究,2013 (1):22-24.
[5] 安健,吳悅,楊洪斌,等. Verilog到VHDL翻譯器的設(shè)計與實現(xiàn)[J].計算機工程與設(shè)計,2005,26(10):2695-2697.
[6] 夏宇聞.Verilog數(shù)字系統(tǒng)設(shè)計教程 [M].3版.北京:北京航空航天大學(xué)出版社,2014.
[7] 姚愛紅,孫盟哲,張智鈞,等.基于斷言的Compact PCI總線IP核功能驗證[J].小型微型計算機系統(tǒng),2014,35(3):676-680.
[8] 崔惠珊,崔海青,李淼.基于SystemVerilog的多通道ARINC429總線通訊板卡的設(shè)計[J].現(xiàn)代電子技術(shù),2014,38(16):54-57.
[9] 胥京宇.Xilinx 20nm All Programmable Ultra Scale產(chǎn)品系列面世[J].世界電子元器件, 2014(1):34-36.
[10] 梁瑞宇,奚吉. HDL項目設(shè)計的創(chuàng)新實驗設(shè)計[J].中國科教創(chuàng)新導(dǎo)刊,2013,34(10):28-30.
[11] 段帥君. 基于Verilog HDL語言的CAN總線控制器設(shè)計及測試[D]. 長春:吉林大學(xué),2009.
[12] 邸志雄. HDL代碼質(zhì)量評估方法關(guān)鍵技術(shù)研究與電路性能優(yōu)化[D].西安:西安電子科技大學(xué),2013.
Exploration of FPGA course teaching for application-oriented undergraduate education
Wang Peng1,2, Chen Xinwu1, Chen Yongen2, Huang Wenxia1, Sun Qiuju1, Zhong Lijuan1
(1. College of Physics & Electronics, Xinyang Normal University, Xinyang 464000, China;2. Communication Software & ASIC Design Centre, Tongji University, Shanghai 200091, China)
Combining the long-term engineering and teaching experience, beneficial explorations on FPGA course reform were accomplished, and key points of FPGA course were listed clearly. The natures of FPGA and HDL’s hardware circuit were interpreted in detail to help students understand this knowledge point. Essential differences of blocking and non-blocking assignments of Verilog HDL were presented clearly, too. With methods depicted above, plus specialized training on HDL coding style, the students’ interests in FPGA course were enhanced clearly. Besides, the students can distinguish FPGA and CPU easily along with course studying. Hardware-circuit-oriented thinking was also established naturally.
FPGA course; hardware description language; concurrent-operation; coding norm
10.16791/j.cnki.sjg.2016.03.047
2015- 09- 17修改日期:2015- 11- 03
河南省教育廳教師教育課程改革研究項目(2013-JSJYZD-026);河南省高等學(xué)校重點科研項目(15A510011)
王鵬(1985—),男,河南周口,博士,高級工程師,主要研究方向為超大規(guī)模集成電路設(shè)計、數(shù)字通信.
E-mail:pengpwn1985@163.com
G642.4
A
1002-4956(2016)3- 0185- 04